From 006f59f6d088329076c1970713e2b628611b3e00 Mon Sep 17 00:00:00 2001 From: Alex Yatskov Date: Thu, 30 Nov 2023 10:16:39 -0800 Subject: [PATCH] Brace wrapping --- ftplugin/c.lua | 2 ++ ftplugin/cpp.lua | 2 ++ 2 files changed, 4 insertions(+) diff --git a/ftplugin/c.lua b/ftplugin/c.lua index 6a1a781..dc8d061 100644 --- a/ftplugin/c.lua +++ b/ftplugin/c.lua @@ -1 +1,3 @@ vim.diagnostic.disable() + +vim.b.argwrap_wrap_closing_brace = 0 diff --git a/ftplugin/cpp.lua b/ftplugin/cpp.lua index 6a1a781..dc8d061 100644 --- a/ftplugin/cpp.lua +++ b/ftplugin/cpp.lua @@ -1 +1,3 @@ vim.diagnostic.disable() + +vim.b.argwrap_wrap_closing_brace = 0